Results for "samsung electronics"

We found 860 results for your search.
  • Application
    Samsung Set to Launch Blockchain-Based Electronic Authentication Platform

    Each day, we see the integration of blockchain into several aspects of life, business and government. Basically, blockchain follows the principles of decentralization, verification and distribution. Thus, employing the technology for something as worthwhile as verification, or if you will, authentication is laudable. Which is why Samsung SDS deserves our...

  • Gadgets
    Samsung’s 2018 Smart TV Designed to Feature in Your Daily Life

    As continuous developments and improvements are made to IoT gadgets, we can expect their functionalities and connectivities to get better. Smart TVs too, one of the most common IoT gadgets, are expected to have new innovative functions in this year. Samsung Electronics is bringing these new innovative features to us...

  • IoT
    After Samsung, LG announces its own new smart home ecosystem

    As the Consumer Electronics Show (CES) 2018 unfolds in Las Vegas, one thing that seems prominent is that 2018 is going to be the tipping point for smart home technology. We've already seen Samsung claiming to take the connected lifestyle to the next level with SmartThings. Now another South Korean tech...

  • IoT
    Samsung wants to make SmartThings app your IoT remote control

    Time and again, Samsung Electronics has stressed on making all of its devices interconnected. The company actually seems to be very serious about it. Samsung's strategy to do so is built around SmartThings, its subsidiary bought back in 2014. At a press event during the ongoing Consumers Electronics Show (CES)...

  • IoT
    Samsung to unveil new model of Family Hub Refrigerator at CES 2018

    Samsung Electronics has today announced the 2018 version of its award-winning Family Hub smart refrigerator. A winner of the 2018 Consumers Electronics Show (CES) 2018, the refrigerator will be on display at the CES 2018, to be held in Las Vegas from 9-12 January, 2018. There's no radical redesign of...

  • Smartwatch
    Samsung Gear S4 could have the battery built into the watch strap

    Earlier, we reported that Samsung Electronics has the highest number of patents in the wearable category. Staying true to that reputation, the company has filed two more patents with WIPO for its Gear smartwatches. The patents got published at the end of 2017. Watch strap with an integrated battery The...

  • Technology
    Samsung accepts overheating problem with some smart TVs

    In recent times, there have been several complaints of overheating issues's with some Samsung TVs. These complaints have been around for more than a year now but have been recently increasing, so much so that the company had to issue a statement via its official product forums. The South Korean manufacturing...

  • Technology
    Samsung Premium TVs 2018 to come with improved accessibility

    Samsung Electronics has today announced that the 2018 models of the company's premium TVs will come with improved accessibility for users with difficulties in hearing and vision. The premium TVs from Samsung already has numerous features for the aid of users with such difficulties. However, the company has taken another step...

  • News
    Super S Cinema by Samsung enters the Guinness Book of World Records

    Back in July 2017, Samsung Electronics installed the world’s first projector-less commercial Cinema LED Screen at Lotte Cinema World Tower in Korea. The Cinema LED Screen is designed to create a more captivating and vibrant viewing experience. Dubbed as Super S,  the technology provides next-generation picture quality and true-to-life audio to the...

  • News
    World’s first Open Connectivity Foundation (OCF) 1.3 announced by Samsung

    Yesterday, Samsung Electronics announced the Open Connectivity Foundation (OCF) 1.3 certification. This is the first system-on-module family globally to be certified by OCF standards. This certification works for Samsung ARTIK™ 05x series of modules which enables gadgets with OCF standards to be easily produced. Some of the features which have set benchmarks on OCF...

  • Smart Stuff
    New patents reveal more details about Samsung’s foldable smartphone

    Amidst the rumours swirling around the Galaxy X, Samsung's recently patented foldable phone, a recent design has been revealed. The patent granted by the Korean Intellectual Property Office (KIPO) to Samsung reveals a design similar to the one the Korean company has filed with the WIPO. The patent granted by...

  • Hacker Boards
    New Samsung Research launched to develop future generation innovations

    Samsung Electronics has officially launched Samsung Research, a new entity of the company formed by combining its Software Research and Development (R&D) center and Digital Media & Communications (DMC) R&D center together. Samsung Research will function as an integrated R&D organization for Samsung’s Consumer Electronics. The new global entity will also...

  • News
    Samsung starts mass production of 2nd Generation 8GB DDR4 DRAM

    Samsung Electronics has announced that it has started the mass production of the industry’s first 2nd-generation of 10-nanometer class (1y-nm), 8-gigabit (GB) DDR4 DRAM. The announcement comes hardly a fortnight after the company announced the mass production of the industry’s first 512-gigabyte (GB) embedded Universal Flash Storage (eUFS). Samsung claims the new RAM...

  • News
    Samsung and Yandex.Market collaborate to develop a Bixby ecosystem

    Samsung Electronics is partnering with Yandex.Market, one of the largest Internet trading company in Russia to develop Bixby's intelligent assistant functionality. This relationship makes Yandex.Market the main platform for searching for products using the Bixby Camera. Bixby was built based on artificial intelligence and machine learning algorithms which makes it a useful tool for taking care...

  • News
    Samsung’s former Bixby chief Rhee In-jong leaves the company

    Samsung has confirmed the resignation of Rhee In-jong. The former Bixby chief and Samsung’s mobile chief expressed his desire to leave Samsung in July, citing personal reasons. Samsung combined its software and hardware divisions for mobile devices last month during the firm’s shake-up last month and appointed Executive Vice President...

  • Computers
    Samsung’s 850 Evo 1TB SSD Now on Sale for $290

    If you're looking at speeding up your computer system and improving overall system performance, then one of the most effective things that you might want to do is to swap out you 'standard' drive and fit a super-fast Solid State Drive (SSD). Traditionally high-performance SSD drives are expensive so you might...

  • IoT
    Video – Samsung Remote Management Solution

    Samsung Electronics today released a video on YouTube, highlighting the remote management capabilities of the new system-on-chip (SoC) platform, Tizen. Samsung Remote Management is a one-on-one support with Samsung technician over the internet. SoC are the chips used on our mobile phones or tablets. These chips have all the PC...

  • News
    Samsung Pay now lets users in India pay their bills

    On the 6th of March, 2017, Korea's electronics giant, Samsung mobile launched its payment service in India. The payments service, Samsung pay app which enables contactless digital transactions is now available to users in India. Samsung continues to improve and add more features for users in India. It added support...

  • IoT
    How Samsung Is approaching the IoT Market

    Samsung is looking beyond selling endpoints into securing IoT (Internet of Things) systems. As a leading global consumer electronics company, selling IoT endpoints (or devices) appears to be Samsung's natural market. IoT security involves safeguarding connected devices and networks. With the growth of IoT adoption, there's been a rise in...

  • Computers
    Samsung and Xiaomi to launch Windows 10 PCs using Qualcomm Snapdragon

    Samsung Electronics and Xiaomi have joined the bandwagon of Windows 10 ARM laptops powered by Qualcomm Snapdragon 835 processors. The two companies join HP, Asus and Lenovo, who are already working on Snapdragon-powered Windows PC. Samsung mainly focuses on its smartphone lineups and is one of the largest mobile phone manufacturers...

  • Get the biggest tech headlines of the day delivered to your inbox

      By signing up, you agree to our Terms and Privacy Policy. Unsubscribe anytime.

      Tech News

      Explore the latest in tech with our Tech News. We cut through the noise for concise, relevant updates, keeping you informed about the rapidly evolving tech landscape with curated content that separates signal from noise.

      In-Depth Tech Stories

      Explore tech impact in In-Depth Stories. Narrative data journalism offers comprehensive analyses, revealing stories behind data. Understand industry trends for a deeper perspective on tech's intricate relationships with society.

      Expert Reviews

      Empower decisions with Expert Reviews, merging industry expertise and insightful analysis. Delve into tech intricacies, get the best deals, and stay ahead with our trustworthy guide to navigating the ever-changing tech market.